User:Taoky wikibug/Chisel (编程语言)

维基百科,自由的百科全书
Constructing Hardware in a Scala Embedded Language (Chisel)
實作者加利福尼亚大学伯克利分校
實作語言Scala
網站www.chisel-lang.org

Chisel [1]是一种开源的硬件描述语言(HDL),是“Constructing Hardware in a Scala Embedded Language”的缩写,即“使用Scala嵌入式语言构建硬件”的缩写。用于在寄存器传输级别描述数字电路[2] [3] Chisel基于Scala作为嵌入式DSL。 Chisel继承了Scala的面向对象和函数式编程的特性,用于描述数字硬件。使用Scala作为其基础可以描述电路生成器。

使用Chisel描述的电路可以转换为Verilog,以进行综合和仿真。

例子[编辑]

这是一个简单的描述8位加法器电路的例子:

class Add extends Module {
 val io = IO(new Bundle {
  val a = Input(UInt(8.W))
  val b = Input(UInt(8.W))
  val y = Output(UInt(8.W))
 })

 io.y := io.a + io.b
}

应用[编辑]

尽管Chisel还不是主流的硬件描述语言,但已经有多家公司和机构对其进行了探索。 Chisel的最主要的应用是开源Rocket芯片,一种RISC-V指令集的实现。 [4]

DARPA也提到Chisel是一种提高电子设计效率的技术。通过使用Chisel,较小的设计团队也可以进行较大的设计。 [5]

Google已使用Chisel来开发部署在边缘的张量处理器[6]

参考文献[编辑]

  1. ^ Bachrach, Jonathan; et al. Chisel: constructing hardware in a Scala embedded language. Proceedings of the 49th Annual Design Automation Conference (DAC 2012). San Francisco, CA, USA: ACM: 1216–1225. June 2012. 
  2. ^ Chisel. people.eecs.berkeley.edu. University of California, Berkeley. [2020-07-08]. 
  3. ^ Bachrach, Jonathan (编). Chisel – Accelerating Hardware Design (PDF). RISC-V. California, U.S.: RISC-V. 
  4. ^ Asanović, Krste; et al. rocket-chip. GitHub. RISC-V International. [2016-11-11]. 
  5. ^ Moore, Samuel K. DARPA Plans a Major Remake of U.S. Electronics. IEEE. 2018-07-16 [2020-06-10]. 
  6. ^ Experiences Building Edge TPU with Chisel. 2018-11-16 [2020-06-10]. 

外部链接[编辑]